[fpc-devel] problem compiling

Marco van de Voort marcov at stack.nl
Mon Nov 29 10:25:33 CET 2010


In our previous episode, Michael Schnell said:
> 
> /usr/bin/ppc386 -Ur -Ur -Xs -O2 -n -Fi../inc -Fi../i386 -Fi../unix 
> -Fii386 -FE. 
> -FU/home/mschnell/Downloads/svn/fpc/trunk/rtl/units/i386-linux -di386 
> -dRELEASE ../objpas/fgl.pp
> fgl.pp(128,38) Error: Generics without specialization cannot be used as 
> a type for a variable
> 
> What am I doing wrong ?

Do you use 2.4.2 as starting compiler?




More information about the fpc-devel mailing list